syedshan
Advanced Member level 1
- Joined
- Feb 27, 2012
- Messages
- 463
- Helped
- 27
- Reputation
- 54
- Reaction score
- 26
- Trophy points
- 1,308
- Location
- Jeonju, South Korea
- Activity points
- 5,134
Dear all,
I am trying to understand a design code where operation starts after trigger, I have a little propblem
in understanding WHY a certain operation is done in the code...
Here I understand that the purpose is to capture the external trigger removing the glitches or uncertrainities in the external trigger,
but what I dont understand is the usage of ext_trigg_pipe variable's size...Why is it 11 bit, Any suggestion...
Also if I have multuple clock domain, can I replicate (changing signals and clock of course) this to other clocks or do I have to change the
ext_trigg_pipe size.
Many thanks
Shan
I am trying to understand a design code where operation starts after trigger, I have a little propblem
in understanding WHY a certain operation is done in the code...
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 ... port(... trigger_to_fpga : in std_logic; -- external trigger to start data capture from ADC ... ); ... signal ext_trigg_pipe :std_logic_vector(10 downto 0); signal ext_trigg_edge :std_logic; --This signal is the reference for the operations to start ... ... process (rst, clk) begin if (rst = '1') then ext_trigg_edge <= '0'; ext_trigg_pipe <= (others =>'0'); elsif (rising_edge(clk)) then --couple of deglitching register ext_trigg_pipe <= trigger_to_fpga & ext_trigg_pipe(10 downto 1); if (ext_trigg_pipe(1) = '1' and ext_trigg_pipe(0)='0') then ext_trigg_edge <= '1'; --This signal is the reference for the operations to start else ext_trigg_edge <= '0'; end if; end if; end process;
Here I understand that the purpose is to capture the external trigger removing the glitches or uncertrainities in the external trigger,
but what I dont understand is the usage of ext_trigg_pipe variable's size...Why is it 11 bit, Any suggestion...
Also if I have multuple clock domain, can I replicate (changing signals and clock of course) this to other clocks or do I have to change the
ext_trigg_pipe size.
Many thanks
Shan
Last edited by a moderator: