UCF for spi in nexys3

Status
Not open for further replies.
sry guys forget about the data from pc to pc..

now how can i send data from master fpga to slave fpga .. what i mean is the master sends data slave catch .. slave send data master catch.. i assigned the mosi miso sck ss for the fpga and the slave fpga in pmod connector .. what should i do next?
 

I think it would be better to open a new thread and provide all relevant information and problems you have faced (even if you need to re-post all codes, b-diagrams, UCFs,etc). Then ask the question. This already #42 and has gone messy.
 

The OP has stated an intent to start a new thread, with code, ucf, block diagram, and a specific coherent question, therefore this thread has been locked by a moderator and no further replies are allowed.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…