Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Trying to use PrimeTime PX to do the power analysis

Status
Not open for further replies.

kev7993

Newbie level 1
Joined
Jan 27, 2016
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
13
Hi,

I am trying to use PrimeTime PX to do the power estimation.
However, I got some messages which I am not quite understand the meaning and I think these might effect the accuracy to the estimation.

Here is my flow:

1. setup the power model
set power_enable_analysis true
set power_analysis_mode averaged

2.
Link the library: .db file
Link the netlist: .v (which is generated after APR)

3.
read sdc file (I am not quite sure whether I have to read it or not?)
read spef file (generated from APR)
read saif file (generated from post-sim)

4.
check_power
report_power -verbose - hierarchy

When I check the report, I saw lots of the cells show "ZeroWireload" in the Wire_model column and "library default" shows Selection_type.
Does anyone know how to solve this problem?
or Is there any error in my flow?

Thanks,
 

hi,

give wireload models available ,i think you missed giving it.check for set_wireload_model constraint in sdc
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top