Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Traingular wave generation using PIC 18F4431

Status
Not open for further replies.

sandhyaram

Newbie level 6
Joined
Aug 12, 2010
Messages
13
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
coimbatore
Activity points
1,365
Hello....

I want to generate Traingular wave using mc..... anyone help me to generate.......
 

Depending on the (unsaid) speed requirement, your options are a "digital" triangle (actually a staircase) sent to a DAC, or an analog function generator, e.g. using an integrator, controlled by a processor output.
 

you can generate triangular wave using DAC

while(1)
{
load the minimum value to DAC register
increment the DAC register value until it reaches maximum value
if incremented value reached maximum value of DAC
then decrement the DAC REG value until it reaches minimum value
if decremented value reached minimum value of DAC
continue again
}

with this you can observe the triangular wave in output of the DAC
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top