Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

to detect clock's rising edge and falling edge

Status
Not open for further replies.

gharuda

Member level 3
Joined
May 30, 2006
Messages
67
Helped
12
Reputation
22
Reaction score
5
Trophy points
1,288
Location
Bengaluru
Activity points
1,992
hello,

Iam working on memory design which requries a clock. i need to detect the Rising edge and Falling edge of the clock and to generate a corresponding pulse for for falling and rising edge. using cmos logic or nmos logic

____________________
_____ / \______

____ _____
_____/ \________________/ \________
 

use a delay then XOR the delayed with the undelayed.
the pulse width is the delay unit used
 
Why dont you use a complementary clocking scheme with D-Flops as the data capture devices. The logic can be realized using a X-OR gate to generate a pulse.

If the synchronization is not important, you can take the clock signal and then pass it through some delay and take both of them to an X-OR gate. This will give pulses at both the rising and falling edges of the clock signal.

Be careful about the component selection. I suppose that you are working on DDR circuits
 

    gharuda

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top