Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Timing loop detected warning in a synthesis report

Status
Not open for further replies.

urakiki

Junior Member level 1
Joined
Feb 15, 2007
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,369
Hi All,

I found the warning about the timing loop detecteds in my synthesis report. What is this warning mean? Is this a critical warning? How to solve it?

Can someone explain some theory on the timing loop issue.

thanks
 

timing loop detected

It could be a bug in your design, if you didn't intend to create a combinatorial feedback loop. Does the warning message tell you which signal is looping?

I found these words somewhere:
"Combinatorial timing loops: These loops are created when output of combinatorial logic or gate is fed back to its input making a timing loop. This kind of loops unnecessary increase the number of cycles by infinitely going around the circle in the same path. These loops also cause a problem in testability."
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top