Timing Diagram -> VHDL

Status
Not open for further replies.

Git

Full Member level 4
Joined
Dec 12, 2001
Messages
194
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,298
Location
Torino
Activity points
1,208
Is there a program that will let you create or load a timing diagram and then save VHDL - i don't mean test bench vhdl, but the vhdl to create the outputs in the td from the inputs in the td ?

Git
 

I'm afraid you will be obliged to code it by yourself

 

if you use integrated development tools , as xilinx, you have a tool that graphically can create a waweform and after save it as vhdl stimulus.
Bye.
 

SynaptiCAD's WaveFormer Pro can sorta do this. You can create a timing diagram by drawing waveforms and by writing Boolean equations to describe the waveforms. When exporting to VHDL, the drawn waveforms will look like test bench code. But the waveforms with Boolean equations will look like VHDL gate level code. For more information you can check out their web site at WaveFormer Pro main page
 

Thanks Donna, but if you look closer you will see you are 7 years too late

Git
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…