Test, Outputs, and Design Variables got deleted after running the same simulation via oceanScript

Status
Not open for further replies.

melkord

Full Member level 3
Joined
May 18, 2018
Messages
151
Helped
0
Reputation
0
Reaction score
1
Trophy points
18
Activity points
1,768
What I did is simply clicking save script from GUI and running the script via CIW.


I use Assembler, Virtuoso 6.1.7 64b.

There this message in the main window when I run the script. This does not show up when I use the GUI.
I do not know whether it has something to do with the problem.


anyone has experienced the same?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…