task & function in verilog

Status
Not open for further replies.

alzomor

Advanced Member level 2
Joined
Jun 9, 2005
Messages
674
Helped
39
Reputation
78
Reaction score
8
Trophy points
1,298
Location
Germany
Activity points
6,406
Hi

Is there a way to get something in verilog like task and function which can be synthsysed?

Salam
Hossam Alzomor
www.i-g.org
 

H do not know if i understood your question but Verilog functions are Synthesizable ,There is No problem in using it.
 

Verilog function are synthesizable as we don't use timing constraint there.
Verilog Task may or may not be synthesizable depending upon your use for timing constaraint
Thanks
Anmol

Added after 23 seconds:

anmolvlsi13 said:
Verilog function are synthesizable as we don't use timing constraint there.
Verilog Task may or may not be synthesizable depending upon your use for timing constaraint
Thanks
Anmol
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…