Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[System Verilog] Assertions with ##[x:x] and |=> ##[1:x]

Status
Not open for further replies.

stanford

Full Member level 2
Joined
Feb 16, 2014
Messages
132
Helped
4
Reputation
8
Reaction score
6
Trophy points
1,298
Activity points
2,223
I have an assertion that says when you are in STATE1, after some time, it should to go STATE2.

How are the two assertion statements different? What's the best way to write an assertion to check that a state machine goes from state1 to state2?

((state == STATE1) ##[1:10] goto_state1_to_state2) |=> ##[0:6] (state == STATE2);
((state == STATE1) |=> ##[1:10] goto_state1_to_state2) |=> ##[0:6] (state == STATE2);
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top