synthesizing problem : WARNING:Xst:647 -

Status
Not open for further replies.

Twix25

Newbie level 3
Joined
Jul 7, 2009
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
montreal
Activity points
1,291
warning:xst:647

I've wrote a simple programme for shifter script in vhdl.
Code:
entity L_shifter is
    Port ( in_ls : in  STD_LOGIC_VECTOR (31 downto 0);
           out_ls : out  STD_LOGIC_VECTOR (31 downto 0));
end L_shifter;

architecture Behavioral of L_shifter is

begin

out_ls <= ( in_ls (30 downto 0)& "0" );

end Behavioral;

and i have the warning:

Code:
WARNING:Xst:647 - Input <in_ls<31>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Unit <L_shifter> synthesized.

how I can modify the code to eliminate this problem? how i can plug the inconnected input?
 

warning xst:647

you can simply declare your input pin "in_ls" as 31-bit wide instead of 32-bit wide and the following warning will be gone :

entity L_shifter is
Port ( in_ls : in STD_LOGIC_VECTOR (30 downto 0);
out_ls : out STD_LOGIC_VECTOR (31 downto 0));
end L_shifter;
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…