Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synthesizable Operators in VHDL

Status
Not open for further replies.

ravics

Advanced Member level 4
Joined
Sep 1, 2010
Messages
116
Helped
4
Reputation
8
Reaction score
4
Trophy points
1,298
Location
Shangri-la
Activity points
1,852
Which of the following operators are NOT synthesizable by Synthesis tools?

*
+
-
&
 

They are all synthesisable for the correct types.
 

Got this question in a competitive exam so if there is a correct ans what it would be, like closest one?
 

what a terrible question. Probably relates to synthesis 10-20 years ago.

---------- Post added at 10:55 ---------- Previous post was at 10:50 ----------

It is probably asking for *, but its been fine to use * for at least the last 6 years (since Ive been coding).
And Ive seen code going back 10 years that uses *.

+ and - are basically the same, an adder
& is just concatenate.
 

what a terrible question. Probably relates to synthesis 10-20 years ago.

haha.. thats what I thought when I saw the question.
 

Hi

really bad question. Some old-fart, zero-knowledge examiner/interviewer must have thought about it.

Now to the point:
Probably the non-synth op is the multiply "*". Most tools back then didn't provide module generators for synthesizing the operation.
Also the "&" operator (concatenation) is certainly synthesizable, since it means (for the correct types, of course) grouping of signals/wires.

In what country did you have the interview? This question shows what jerk-off company this interviewer works in.

the_penetrator

---------- Post added at 23:50 ---------- Previous post was at 23:46 ----------

Also another point.

Since July 2000 that i made it to this business as a worker, I never had to do a single interview. I did a couple of "technical presentations" but never a strict interview.
I used to just sat down with those guys and present some tidbits of my portfolio (starting from my univ. years, still growing, much more mature now). Nothing more was required to get the job.

It is important to have a good portfolio and processes that automate your day-by-day work. Otherwise you will be victimized by such low-life fat-ass examiners.

More on this, on some other day.

Kind regards
the_penetrator
 

I think so.............

"&" operator can't be sysnthesized by VHDL synthesis tool!............
 

I think so.............

"&" operator can't be sysnthesized by VHDL synthesis tool!............
I started using VHDL in '95 and every tool I worked with supported &.

What is your supporting evidence that synthesis tools don't support it? Perhaps it's your expertise in analog and micros that gives you such indepth knowledge of VHDL.
 

Who'd have thought concatenating two busses would be such a hard thing for a synthesisor to do.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top