Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

synthesizable delay using vhdl

Status
Not open for further replies.

ravi33811

Newbie level 4
Joined
Feb 24, 2007
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,325
hi can any tell how to writ vhdlcode
to introduce delay which is synthesizable

like
a<=b after 50 ns;
c<= d after 30 ns;
 

delays are not synthesizeable.
The only option is, to set up a counter which delays the signal by a known 'count' the frequency at which counter works, and the count will determine the delay
Kr,
Avi
http://www.vlsiip.com
 

Hi,
Synthesizable delay can be obtained by using dff. In your case you also need ounter like mensionned by Avi.
Cheers,
Master_picengineer
 

Counter is the best idea for introducing delay in the circuit. But Count has to be calculated according to operating frequency.
 

There is no standard synthesizable delay in VHDL.
You can go for some divide by counters. If you know the clock frequency find a suatable count to so that you get that delay.

a <= b after 50 ns, is not a synthesizable construct.
 

For small delays, (not 50ns) you can also pass the desired delay as synthesis parameter in Synopsys.

Your synthesised circuit will insert some buffers to form the asked delay and this can be passed on the SDC on your back-end tool.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top