madalin1990
Full Member level 2
- Joined
- Apr 4, 2012
- Messages
- 124
- Helped
- 2
- Reputation
- 4
- Reaction score
- 2
- Trophy points
- 1,298
- Activity points
- 2,090
I have described a top for my implementation but got stucked on this error:
"Syntax error near "Instance_dpim_IO".
I have scanned the code but can't find any syntax error.Here is the code near "Instance_dpim_IO" :
- - - Updated - - -
Nevermind. I have forgotten a begin.
"Syntax error near "Instance_dpim_IO".
I have scanned the code but can't find any syntax error.Here is the code near "Instance_dpim_IO" :
Code:
...
signal Sw1 : std_logic_vector(15 downto 0); -- 0x05..6 16 switches, bottom row on the PC I/O Ex GUI
signal Btn1 : std_logic_vector(15 downto 0); -- 0x07..8 16 Buttons, bottom row on the PC I/O Ex GUI
signal dwBtwn: std_logic_vector(31 downto 0); -- 0x09..b 32 Bits user output
------------------------------ component's instantiation -------------------------------------
Instance_dpim_IO: dpim_IO port map(
mclk => mclk,
EppDB => EppDB,
EppAstb=> EppAstb,
EppDstb=> EppDstb,
EppWr => EppWr,
EppWait=> EppWait,
data_out=> dpimref_mem,
data_in => mem_dpimref,
address => tmpAddr,
Swrite => tmpWr,
Led => Led1,
LBar => LBar1,
Sw => Sw1,
Btn => Btn1,
dwOut => dwBtwn,
dwIn => dwBtwn
);
Instance_ram_sp_ar_aw: ram_sp_ar_aw port map (
address => tmpAddr,
mem_in => dpimref_mem,
...
- - - Updated - - -
Nevermind. I have forgotten a begin.