shaiko
Advanced Member level 5
Hello people:
What do you think about the following code:
constant x: std_logic_vector( (conv_integer - 1 downto 0) ) := (others => '1');
-- y is a std_logic_vector defined in the entity generics
Xilinx ISE synthesizes this code with no issue while SynplifyPro terminates it with the following error message:
"Expression <E70> does not have a position value"
Please advise...
What do you think about the following code:
constant x: std_logic_vector( (conv_integer - 1 downto 0) ) := (others => '1');
-- y is a std_logic_vector defined in the entity generics
Xilinx ISE synthesizes this code with no issue while SynplifyPro terminates it with the following error message:
"Expression <E70> does not have a position value"
Please advise...