Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synopsys to cadence importing netlist..........

Status
Not open for further replies.

jkbagada

Newbie level 6
Joined
Aug 14, 2010
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,361
hello friends

If i import the synthesized verilog netlist from synopsys to cadence, can i perform simulations on the netlist in cadence
or it is just opening in view mode?



Thanks
 

The synthesized netlist is verilog code as well, which should be simulated by all the simulation tools including modelsim, cadence etc.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top