Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Syn/STA for both rising/falling edge design

Status
Not open for further replies.

quake

Member level 5
Joined
Nov 23, 2004
Messages
86
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,286
Activity points
882
Hello. I have a design need 1.5 frequency division, so I used both rising and falling edge of the clock. Then in sythesis and STA process, what do I need to do for constraint, seperately? Any special command should be used? And how can I gurrentee it can work correctly? Thanks
 

anybody help?
 

Did you mean the constraints of clkgen module?

Sincerely,
Jarod
 

Yeah, almost. For DC, the clock is created, since both of the rising and falling edges are used, do I need some constraint on this clock? For PT, when timing is analysed, does it need some special cases to verify it? thanks.
 

I think it only need the clock contraints. No more concern you have to take.

Sincerely,
Jarod
 

really? thanks. If any concern has to be taken, please let me know.
 

As an basic issue, programmable logic has no flip-flops that are clocked on both edges. Thus the design could only be synthesized with combinational logic merging outputs from posedge and negedge flip-flops. I don't know, how the result actually looks like, but the output may have glitches if the design isn't well thought-out. This can't be prevented by clock contraints, I think.
 

Hey ,
I am attaching a ppt ..It mite help...
It has info good info on primetime and STA...
a nice informative one.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top