Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

switching between RTL and gatelevel sim, generics

Status
Not open for further replies.

d3m0nxxl

Newbie level 1
Joined
Jan 31, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,289
Hi,

when simulating an ASIC design, i try to easily switch between RTL simulation and gate level simulation. (using ModelSim)

For RTL simulation, I have to specify generic parameters. While simulating the netlist, the generic parameters are not longer present.
For the moment, i have to comment in/out the generics.
I can not use generate statements, as the generics have to be specified in the component as well.
As i know, preprocessor commands like 'ifdef are only valid in verilog, but I use VDHL as the system environment.

Does anyone have an idea, how to do this? Is it possible for example, somehow to ignore the error message for not bound generics?

Thanks in advance!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top