Supplying an FPGA board with an external clock.

Status
Not open for further replies.

Anshuman

Newbie level 1
Joined
May 19, 2005
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
external clock spartan

What are the things I need to do to apply an external clock to a Spartan 3 Starter Kit?
 

better go throu xilinx website
they give details to u.
otherwise read spartan 3 kid manual
if u give more detail about ur board i will send link and help u
 

your clock driver must have enough current drive capacity,

and use a 50R impedance high quality cable to drive fpga board's clock input.

you should assure the connection is impedance matched to avoid dangerous

reflection.




Anshuman said:
What are the things I need to do to apply an external clock to a Spartan 3 Starter Kit?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…