Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

stream in the gds file to cadence virtuoso

Status
Not open for further replies.

henrywent

Member level 5
Joined
Dec 29, 2008
Messages
83
Helped
5
Reputation
10
Reaction score
5
Trophy points
1,288
Location
china
Activity points
1,869
virtuoso stream in

hi there,
i synthesized a digital cell using synopsis tools and generated the gds file using the auto place and route tools. my problem is how to stream in the gds file to cadence virtuoso and do drc and lvs , because i want to simulate the digital cell with my analog cells. Any advice or suggestion will be appreciated, thanks!
 

cadence stream in

You can stream in the gds file in the CIW window under : file > import > stream in.
You may have to specify a layer map table to point to to generate the correct layer purpose pair numbers to see all of the data. Usually if you have a CAD engineer on board to help you line the map file table up to the current technology that would make it easier. The layer mapping table basically translates the gds # from one technology to map with the current technology and is a simple text file.
Hope this helps somewhat.
 

    henrywent

    Points: 2
    Helpful Answer Positive Rating
layer purpose pair

At the CIW window (the initial window),

1. go to File->Import->Stream;
2. load .gds file at "Input File";
3. specify the target library name at "Library Name";
4. ready to go!
 
virtuoso stream

dmparmet said:
You can stream in the gds file in the CIW window under : file > import > stream in.
You may have to specify a layer map table to point to to generate the correct layer purpose pair numbers.....
thank u for your reply, but where can i find the layer map table? is there any easier way to do this job?
 

.gds file

it should come with your pdk. something along the lines of streamin.map or gds2cds.map. the file should have the corresponding columns

Code:
#Cadence name   layer purpose   gds number      data type
 

layer mapping in cadence

You need technical file. It can be get from the foundry. If no, you must generated by yourself.
 

which files except of gdsII file exported from soc encounter i need for stream in virtuoso?
i think i need a technology file and a layer map file right?
I need anything else?
and if i don't have these files how i can create a target library for stream in?

ty
 

You can stream it in and create a (minimal) tech file.
It will have just the layers related stuff, and only the
layers present in the .gds database, not all the foundry
possible layers.

Your pin properties are unlikely to come across clean
and this will bother LVS.

If you have the analog project going and the digital
block is meant to be integrated then you must have
the techlib, layer tables and so on already. Whether
this matches whatever you used in synthesis, ???.
 

in the strmin command i know it needs a .gds (exported from soc encounter) file -stream ../....gds and the -library what it would be???
 

Hi conmourtz,

Before doing strmin, u need to create a library into which the gds will get streamed in ..
You can do it using File -> New -> Library in the CIW window..
 

Before doing strmin, u need to create a library into which the gds will get streamed in ..

Hi,

You do not necessarily need to create a new library.
Before going to the problem of sreaming in, you have to address the question whether you have streamed out properly:
A few things to consider during the stream out from Encounter:
1) Did you merge the GDS2 for the standard cells when you stream out of Encounter?
2) Did you attach the proper mapping file so that your Encounter layers are streamed to the proper/desired GDS layers?
e.g. pin labels streamed to proper GDS text layers?

When streaming in with Virtuoso:
1) Have you attached the correct techfile?
If you have, then you do not need to attach to a library - your design will be generated in the new library you defined during stream in.
2) Do you have the correct mapping layer?
Note: the stream-in mapping layer is not necessary IF your techfile has all the GDS/stream layer info. that you use in Encounter & you have taken care of mapping all these layers correctly when streaming out.

Best of luck,
I-FAB
 
actually i want the virtuoso to import the pads on my design... i have the .gds2 files for pads, cells (and, or, nand, flip-flops.....) of the lib that i use and the gds2 file exported from soc encounter with the gds map file. that's all. how i can import the pads on virtuoso using these resources? do i need something more?

ty
 

actually i want the virtuoso to import the pads on my design... i have the .gds2 files for pads, cells (and, or, nand, flip-flops.....) of the lib that i use and the gds2 file exported from soc encounter with the gds map file.

Like I said in (1) of stream-out from Encounter, please merge the GDS data (pad, standard cells, memory, blocks, etc.) given by the foundry.

I-FAB
 

new problem appeared on import stream on virtuoso:


WARNING (36): Stream layer-datatype '34:10' is not defined in the layer map file. All the objects on this layer-datatype will be ignored.


i have 304 of them on logfile..any suggestions/help of what could be wrong? ty
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top