Square root and squaring operation using Verilog

Status
Not open for further replies.

param

Member level 2
Joined
Sep 9, 2005
Messages
49
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Activity points
1,649
square root in verilog

pls anyone help me to find the square root and squaring operations in verilog,
actually i need to find the magnitude,
is there any direct instruction in verilog hdl to find these values
 

square root verilog

Verilog doesn't have square root. It's a messy operation in hardware.
Squaring is easy, simpy use x*x.

Consider using CORDIC to find magnitude:
**broken link removed**
 

    param

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…