Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Speed Control of DC mOtor

Status
Not open for further replies.

ksunil.patro

Newbie level 1
Joined
Sep 5, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
Hi,
I am doing a FPGA Model for speed Control Of Dc Motor using PWM technique. Anyone can help me for the source code for generating the PWM signal using Verilog.
 

the most basic is:
1.) have a free running counter.
2.) at cnt = 0, store a control value.
3.) while cnt < control value, out = 1. else 0.

This prevents a change in the pwm control input from double pulsing.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top