Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Sine wave generation using Direct Digital Synthesizer in xilinx ISE

Status
Not open for further replies.

Drastic

Newbie level 2
Joined
May 18, 2015
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
15
Please help me out in resolving the issue with sine wave generation using DDS. How to generate 4 different sinusoidal signals with phase angles 0,90,180 and 270. How phase increment values are related to frequency. am designing my QPSK modulator for a clock frequency of 100 MHz. IP core has been generated for DDS, hw to make it work efficiently for sine generation. Do help me in sorting this problem. Thanks in advance
 

Hi,

what output frequency range and resolution do you need? This is the most important information to setup your DDS.

Usually a fixed counter increments phase angle steps. Then from a lookup table you find the sine values to the angle value.
The increment value is proportional to your output frequency.

if the increment value is 1 degree with 100MHz, then output frequency fo = 100MHz * 1degree / 360degree = about 300kHz

But the increment value could be 0.000001degree, then output frequency is about 0.3Hz

Klaus
 

Thanks for u help. My design parameters are as following, output frequency is 25mhz and frequency resolution is found to be 1525. The phase increment to the DDS is found to be 1111111111111111. How to relate this with that of output freq and phase angle ?
 

You don't need DDS to generate a 25 MHz signal with 100 MHz clock, because the out is simply a sequence 0,+1,0,-1. A few flip-flops can generate it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top