Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

sine wave generation in vhdl

Status
Not open for further replies.

matt2011

Newbie level 4
Joined
Mar 7, 2011
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,325
I am very new to vlsi......
I want to generate a sine wave using vhdl.
i stored all the samples in a rom and fetch one by one to generate it.my main aim is to change the phase of the sine wave for 45,90 degrees...pls help
 

determine the frequency. In any case. to generate a sine with a frequency of 1 MHz with an accuracy of 100 points for the period necessary to touch these points at 100 megahertz.

See DDS synthesizer on FPGA

---------- Post added at 11:14 ---------- Previous post was at 11:12 ----------

jumps to address its ROM. Get an instant jump in the phase
 

To advance 90 degrees, you skip ahead ninety 360ths ( or 25 percent ) of a cycle.

The amount of samples you should skip depends on how many divisions you use per cycle.

Example, if you use 1000 divisions for a cycle, then skip ahead 250.

If your units are radians, then a full cycle is 2*Pi. The proportion is calculated the same way. 90 degrees is Pi/2 radians.
 


I had used Taylor series expansion to generate sine & cosine waves. You can select the no. of terms to give a accurate looking waveform in the simulator!
 

Check the "VHDL functions" section in this "Memory Initialization Methods" blog

I am very new to vlsi......
I want to generate a sine wave using vhdl.
i stored all the samples in a rom and fetch one by one to generate it.my main aim is to change the phase of the sine wave for 45,90 degrees...pls help
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top