[SOLVED] Simulation Problems - Output signal displays false values in the beginning.

Status
Not open for further replies.

thank you for the detailed description. I do understand the concept of clocks and pipelining concepts although I am not an expert at it. However I was aware of using # ns based delays but like u said that would just make my simulation graph more synchronised and easy to read and analyse. Thanks once again for the answer!!
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…