Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

signal demotion from global clk to normal, in Actel Libero

Status
Not open for further replies.

buenos

Advanced Member level 3
Joined
Oct 24, 2005
Messages
960
Helped
40
Reputation
82
Reaction score
24
Trophy points
1,298
Location
Florida, USA
Activity points
9,116
global clk

hi

it is a common problem, that the synthesizer or the p&r program assigns normal signals to global clock networks (because of the big fanout on it), and then it does not let me to assign the signal to the pin I wanted, it only lets me to assign it to one of the few global-capable pins. Normally we can override this by specifying that the signal is NOT A GLOBAL. This is the signal demotion.

I know how to do it with Xilinx (top level VHDL, attribute BUFFER_TYPE...), but now I am working with in the actel development software, and I have to do demotion, but I dont know how.
probably I have to write into one of the constraint files, but to which one? and what is the syntax? please tell me an example.
 

actel toplevel vhdl example

hi,


in the .pdc file try:


unassign_global_clock -net netname


Exceptions
You cannot assign “essential” clock nets to regular nets. Clock nets that are driven by the following macros are “essential” global nets: CLKDLY, PLL, and CLKBIBUF.

Examples
unassign_global_clock -net globalReset


B.
 

actel libero pdc file

hi

thanks. i think there were some problems doing it in the pdc, i dont remember what it was...
but finally i found the solution:
this goes ito the toplevel VHDL file, after the portlist:
attribute syn_noclockbuf:boolean;
attribute syn_noclockbuf of signalname : signal is true;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top