yttuncel
Junior Member level 3
- Joined
- Nov 18, 2012
- Messages
- 30
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1,286
- Activity points
- 1,663
Hello,
I have decided to open a new thread, since this is totally a different problem than the one stated here:
I'm having problems with shifting an array of bytes, I already did some search and found this topic very similar to mine. Yet the method provided there did not solve my problem, so I am opening a new thread.
First I'm giving my shifter module:
and my package does have the type declaration that @BlackHelicopter suggested on the previous topic.
and this is the RTL schematic of my shifter:
I really don't know what is the problem, I appreciate any help.
Also, at first, my array width was 16, but compiler warned me that my FPGA does nat have enough I/O pins, that will be my second question how to solve that issue as well.
ADDITION:
I forgot to add the warnings, since the code is compiled and schematic is generated I receive no errors, but lots of warnings.
Once again, any help is appreciated.
Thank you.
I have decided to open a new thread, since this is totally a different problem than the one stated here:
I'm having problems with shifting an array of bytes, I already did some search and found this topic very similar to mine. Yet the method provided there did not solve my problem, so I am opening a new thread.
First I'm giving my shifter module:
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 ibrary IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use work.mypackage2.all; -- contains the type reg array entity shifter is generic ( REGSIZE : integer := 8); port(clk : in std_logic; Scan_Dav : in std_logic; Data_in : in std_logic_vector(7 downto 0); Data_out : out reg_array ); end shifter; architecture bhv of shifter is signal shift_reg : reg_array; begin process (clk, Scan_Dav) begin if rising_edge(clk) then if Scan_Dav = '1' then shift_reg(REGSIZE-1 downto 1) <= shift_reg(REGSIZE-2 downto 0); shift_reg(REGSIZE-1) <= shift_reg(0); else shift_reg <= shift_reg; end if; end if; Data_out <= shift_reg; end process; end bhv;
and my package does have the type declaration that @BlackHelicopter suggested on the previous topic.
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 -- Package File Template -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions library IEEE; use IEEE.STD_LOGIC_1164.all; package mypackage2 is subtype reg is std_logic_vector(7 downto 0); -- a byte type reg_array is array (7 downto 0) of reg; -- array of bytes end mypackage2; package body mypackage2 is end mypackage2;
and this is the RTL schematic of my shifter:
I really don't know what is the problem, I appreciate any help.
Also, at first, my array width was 16, but compiler warned me that my FPGA does nat have enough I/O pins, that will be my second question how to solve that issue as well.
ADDITION:
I forgot to add the warnings, since the code is compiled and schematic is generated I receive no errors, but lots of warnings.
Code:
WARNING:Xst:819 - "I:/Proje/IndividualTest/shifter2/shifter.vhd" line 19: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
<shift_reg>
WARNING:Xst:647 - Input <Data_in> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <shift_reg<0>> is used but never assigned. This sourceless signal will be automatically connected to value 00000000.
WARNING:Xst:1710 - FF/Latch <shift_reg_1_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2404 - FFs/Latches <shift_reg_1<7:0>> (without init value) have a constant value of 0 in block <shifter>.
WARNING:Xst:2404 - FFs/Latches <shift_reg_2<7:0>> (without init value) have a constant value of 0 in block <shifter>.
WARNING:Xst:2404 - FFs/Latches <shift_reg_3<7:0>> (without init value) have a constant value of 0 in block <shifter>.
WARNING:Xst:1710 - FF/Latch <shift_reg_4_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.WARNING:Xst:819 - "I:/Proje/IndividualTest/shifter2/shifter.vhd" line 19: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
<shift_reg>
WARNING:Xst:647 - Input <Data_in> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <shift_reg<0>> is used but never assigned. This sourceless signal will be automatically connected to value 00000000.
WARNING:Xst:1710 - FF/Latch <shift_reg_1_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_1_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_2_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_3_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2404 - FFs/Latches <shift_reg_1<7:0>> (without init value) have a constant value of 0 in block <shifter>.
WARNING:Xst:2404 - FFs/Latches <shift_reg_2<7:0>> (without init value) have a constant value of 0 in block <shifter>.
WARNING:Xst:2404 - FFs/Latches <shift_reg_3<7:0>> (without init value) have a constant value of 0 in block <shifter>.
WARNING:Xst:1710 - FF/Latch <shift_reg_4_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_4_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_5_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_0> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_1> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_2> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_3> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_4> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_5> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_6> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <shift_reg_6_7> (without init value) has a constant value of 0 in block <shifter>. This FF/Latch will be trimmed during the optimization process.
Once again, any help is appreciated.
Thank you.
Last edited: