Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

SET UP AND HOLD ---which one has to be fixed first and why?

Status
Not open for further replies.

KASILANKA VISWANADH BABU

Newbie level 3
Joined
Aug 8, 2007
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306
Hi ,
Can any one of you clarify my doudt why the hold must be fixed before set up ?

K.Viswanadh Babu
 

Re: SET UP AND HOLD ---which one has to be fixed first and w

hi,

Hold violation is critical then setup violation. y b'caz if your design is having hold violation it will affect the functionality of your chip, so you can’t use the chip. If u have setup violation by changing the input frequency of ur chip you can use the chip.

Regards,
Prithivi.
 

The most important is the hold time violation. If you get this wrong this chip will not work at all.

If you get setup problem, you may get away with underclocking it, if this is an option.

However, First you must optimise to fix the setup times.
Fixing the hold times is just a buffer insertion usually and can be done at a later stage.

If fixing the hold time ruins your setup time, then maybe you have a problem in your constraints
 

Yes, as said above hold violations are more critical.However we should fix setup violations in pre CTS ( CLOCK TREE SYNTHESIS) i.e. before P&R. Hold violations can be fix suitablly by inserting clock buffers in P&R stage.
 

hold time violations hv to be fixed first .... if u do not fix it, then when ur chip is a dead one !!

if u hv setup violations, u can still make the chip wrk by reducing the clock frequency !!

small hold violations can be fixed at the P&R level, but if u hv huge hold violations, then b4 CTS itself it has to be taken care of !

AS said by "Thinkie", if ur setup is ruined by fixing the hold, then chk the constraints !
 

Re: SET UP AND HOLD ---which one has to be fixed first and w

Hi Viswanadh,

Clock time period has a say in the setup time violations, meaning if you increase the clock time period, you can still live with the setup time violation but since hold time violation does not depend onthe clock, it is almost suicidal to tape out the chip with a single hold time violation(since its never gonna work).

Actually setup is also important since it decides the performance of the chip, but a low performance chip is still better than a useless chip.

Cheers!!!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top