Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

sending data from PC to FPGA using UART

Status
Not open for further replies.

poojakkk

Newbie level 1
Joined
Mar 23, 2015
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
8
I have written a code for an ALU in bluespec system verilog. burnt the program in the FPGA spartan 6. now i want to make changes to the code such that it receives its input data from the UART bridge on the FPGA. i dont know what changes to make in my bluespec code. can someone help me?
 

These two statements in particular...
I have written a code for an ALU in bluespec system verilog.
i dont know what changes to make in my bluespec code. can someone help me?

You are best qualified to modify code you have written (which we don't have) to add functionality to your design.

My guess is you are a software programmer, that believed the marketing hype about how easy it is to design with _______ (fill in the blank) at a higher level of abstraction.

Well the design you are looking for will consist of.
1. a UART (serial on one side, parallel data on the other)
2. take parallel data from UART and reformat it (optional, if required)
3. input data to ALU, through some interface you design that takes UART parallel data and turns it into ALU inputs
 


Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top