Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Sending Data from PC to FPGA(spartan 3 starterkit) via RS232

Status
Not open for further replies.

chimera086

Newbie level 3
Joined
Jan 19, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Philippines
Activity points
1,334
Hi, im really new to this project and i dont know where to start. All i know is that i could use the hyperterminal for me to send data towards the FPGA... but i dont know how to do it... also the hardware stuff for the connection between the PC and FPGA... what i want is to make a simple project that sends data only towards the FPGA so that i could use that data in lighting the LEDS or something...Pls do help me... i really dont know this one...
 

Re: Sending Data from PC to FPGA(spartan 3 starterkit) via R

Search this board! There have been similar kind of questions asked and replied.
 
  • Like
Reactions: taizm86

    V

    Points: 2
    Helpful Answer Positive Rating

    taizm86

    Points: 2
    Helpful Answer Positive Rating
Re: Sending Data from PC to FPGA(spartan 3 starterkit) via R

What you need is a UART hardware inside your FPGA. As suggested in previously post search forum for UART.
 

Fpga Prototyping By Vhdl Examples: Xilinx Spartan-3 Version

Your application is in this book with source code.
 
  • Like
Reactions: siva.gctece

    V

    Points: 2
    Helpful Answer Positive Rating

    siva.gctece

    Points: 2
    Helpful Answer Positive Rating
hello zula

i have gone through this book also. i understand the functionality but i couldnt implement it. i will be really grateful if you can provide me the user constraint file for the code in the book you mentioned.

regards

Mohsin
 

which board you are using?
 

you can use this link.

also you should just write like this into ucf file;

NET "RXD_Port" LOC = "T13" | IOSTANDARD = LVTTL ; # for the rx signal
NET "TXD_Port" LOC = "R13" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ; # tx signal
 
dear can you provide me uart code with this User conbstraint File? i will really appreciate if the code is in verilog. a compete running code for spartan 3. i will be really grateful to you.

regards
 

hi zula

i already have verilog version for this book and i am exactly following this book chapter 8. the UART code is copied and ther is no error in it. all i need is a user constraint file for this code.

and besides this, i was actually asking if you have any UART code that is in working condition and contains all necessary things like UCF. it could be very helpful for me.

thanks for your kind reply
regards
Mohsin
 

Omg, UCF is not so hard to make, just read your code, usually UART codes just need RX and TX pins to be associated with your FPGA, if you figure it out by yourself you will learn a lot. Just try.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top