Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Sending an Image file into an FPGA!

Status
Not open for further replies.

raiyyan09

Newbie level 1
Joined
Nov 27, 2015
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
0
Activity points
0
Hello guys, I am completely new with the device. I attempting to implement an image processing algorithm onto an fpga. I am trying to do this by explicitly writing a verilog code for the algorithm that I have designed. It requires me to filter an image first row wise and then column wise. But, I am not finding any matter related to how to send an image into fpga so that whichever form it is available in, i could make it undergo the necessary processing.
first of all, I know none of the ways to send an image. secondly, for any method how would i receive the data(digitized) on the fpga board or would it be into the memory directly? how to utilize that data brought inside it in a most desirable way? :thinker:
 

The question is what you mean by sending an image to the FPGA. Is the image stored on a SD card? then you need to have an interface to the SD card, and some SW and code to read from it and decode it. If it s an image coming from a seonsor, then you need to have a sensor interface and capture the data.
You can send the data using RS232, which is slow but east, or use ethernet, which is more complicated or in some cases (depending on your board) using USB.

FPGA is not a micro controller, you need to know a bit more about your HW, what is available, what you want to achieve and how fast (or slow) you can accept the data to be available to you.
What I suggest is this, google these and see what you can find:
* FPGA image SD Card VGA
* FPGA image sensor interface
* FPGA data transfer ethernet
* FPGA data transfer serial USB

Or something like that. Hope that helps!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top