Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

RTL simulation using memory lib

Status
Not open for further replies.

wind7312

Newbie level 2
Joined
Jul 2, 2006
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,294
ncverilog +notimingchecks

Hi,
I do RTL simulation using memory verilog lib,do the $setuphold( )is needed?
The result is X state.

best regards
 

notimingcheck vcs

I am not sure which tool you are using for reading .lib for simulation.
if you are able to use .lib than it is not required.
 

ncverilog uselib -y -v

wind7312 said:
Hi,
I do RTL simulation using memory verilog lib,do the $setuphold( )is needed?
The result is X state.

best regards

If you do RTL sim and don't care about timing checks, use +notimingchecks with VCS/VXL etc.

Which tool do you use?

Ajeetha, CVC
www.noveldv.com
 

ncelab notimingchecks

Hi,
I am use ncverilog,how to set parameter?

Added after 26 minutes:

IN ncverilog should add the notimingcheck?
 

using memorylib;

lightcloud said:
Hi,
I am use ncverilog,how to set parameter?

Added after 26 minutes:

IN ncverilog should add the notimingcheck?

ncelab -notimingchecks

If you use one step ncverilog, then it is +notimingchecks I believe, check their cdsdoc for more

HTH
Ajeetha, CVC
www.noveldv.com
New Book: A Pragmatic Approach to VMM Adoption 2006 ISBN 0-9705394-9-5
https://www.systemverilog.us/
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top