Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Register retiming in DC ( Optimize Register )

Status
Not open for further replies.

Karthi

Newbie level 4
Joined
Jul 12, 2006
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,317
register retiming + dc

Hi,
I face a problem while retiming using optimize_register command in DC. There is a stage in my pipelined design such that its slack is negative and the subsequent stages have a positive slack. When i execute the command "optimize_register", i get the following warning :
The following registers are considered to be 'fixed' during retiming. They are end-points of timing exceptions such as 'set_false_path'. (RTDC-34).

But i did not give any false, multicycle path in my design. I ve not used set_min, max_delay also. Can anyone please help me out to solve this issue??/
 

register retiming + dc + command

follow the userguide, use "report_timing_requirements" to check the constrain on registers.

i guess maybe the "set_dont_touch" would also get the same problem
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top