Regarding VHDL netlist

Status
Not open for further replies.

priyanka22

Junior Member level 1
Joined
Mar 30, 2012
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,375
Hello All,

I want to generate netlist of a VHDL project in Xillinx ISE project navigator.
Kindly help me through your valuable experiences.

Thank You!

~With Regards
Priyanka Singh
 

vhdl netlist related query

Hello All,

What is .ngd file and how can we convert a .ngc file into .ngd file.
Kindly help me in generating netlist of a vhdl code.
Thank you!

~With Regards
Priyanka singh
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…