Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Regarding Math_Real Library

Status
Not open for further replies.

atena

Full Member level 1
Joined
Feb 22, 2007
Messages
97
Helped
7
Reputation
14
Reaction score
2
Trophy points
1,288
Activity points
1,896
math_real

Hi everyone, i'm having a problem in Designing a Math-Processor with division operator, my XILINX 7.1 ISE not even operate with floating-point type, i tried to remove that problem by involving math_real library but fail. Is there any limitation in ISE that prevent me from the professional design with with those lib like Math_Real or Math_Complex ? Can anyone give me a guide? Thanks in advance.
 

vhdl math_real

If you are referring to IEEE floating point in VHDL, I don't think any VHDL synthesis tools support floating point. Floating point can't be implemented very efficiently in today's FPGAs, so most designers prefer integer algorithms. If you really need floating point for something, consider using the "Floating Point 3.0" core provided with ISE CORE Generator. It's listed under "Math Functions". (That's in ISE 9.2i. I'm not sure about your older version.)
 

math_real vhdl

echo47 said:
If you are referring to IEEE floating point in VHDL, I don't think any VHDL synthesis tools support floating point. Floating point can't be implemented very efficiently in today's FPGAs, so most designers prefer integer algorithms. If you really need floating point for something, consider using the "Floating Point 3.0" core provided with ISE CORE Generator. It's listed under "Math Functions". (That's in ISE 9.2i. I'm not sure about your older version.)
Thank you my friend, i also thought that floating cant be supported by any HDL due to the difficulty in changing it to binary number. I got confuse about it when i refer to the book : " The designer's guide to VHDL " by Peter Ashenden, he introduced the math_real library which can operate directly with floating point as well as all most scientific math operator. I will look for ISE 9.2i and see. Have a good day...
 

xilinx math_real

Most VHDL and Verilog simulators do support floating point. Even if your FPGA logic uses integer arithmetic, floating point is very useful in the simulation testbench to generate stimulus waveforms, and to help analyze the results.

Your ISE 7.1i may have an older version of the floating-point core library. I don't recall which version of ISE introduced it.

I expect Xilinx or Al.tera will someday put floating-point arithmetic blocks into their FPGAs. When that happens, they will probably add floating-point support to their VHDL/Verilog synthesizer.
 

does xilinx 9.2i support floating point operatons

echo47 said:
Most VHDL and Verilog simulators do support floating point. Even if your FPGA logic uses integer arithmetic, floating point is very useful in the simulation testbench to generate stimulus waveforms, and to help analyze the results.

Your ISE 7.1i may have an older version of the floating-point core library. I don't recall which version of ISE introduced it.

I expect Xilinx or Al.tera will someday put floating-point arithmetic blocks into their FPGAs. When that happens, they will probably add floating-point support to their VHDL/Verilog synthesizer.

Thanks for replying, but really i do not satisfy with your answer. I'm not talking about Simulator, the simulator like Model Sim even can simulate the C++ code, so it must be built in the already avai resources. The problem i faced was with the simulation in SYNOPSYS env where optimization and layout extraction are to be done, not only in XILINX but also in Design Compiler or any rule checker tool such like VCS or LEDA. Til now i still cant come out of this prob without scanner unit....
But anyway, thank you for replying, wish you have a nice weekend.
 

vhdl math library

I was simply responding to one sentence, "i also thought that floating cant be supported by any HDL . . ."
You probably meant to say "any HDL synthesizer" instead of "any HDL".

Of course, as you've discovered, today's HDL synthesizers generally don't support native HDL floating-point type. Instead, they sometimes provide a floating-point IP library or core.

Thankfully simulators do support native HDL floating-point. That has been a big help in my project test benches.

If you need to develop math algorithms for FPGA, you might consider using MATLAB add-on tools such as Simulink HDL Coder, or the Xilinx tools - System Generator for DSP and AccelDSP.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top