Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

reg to reg violation for hold violations in soc encounter

Status
Not open for further replies.

vlsitechnology

Full Member level 5
Joined
Nov 1, 2007
Messages
263
Helped
21
Reputation
42
Reaction score
14
Trophy points
1,298
Activity points
2,837
Suppose if i got the hold violation in the reg to reg path then wt shud i do ?
Wt i can do is either i can downsize the data path or i can add some buffers or i can add delaay buffers
am i right??
so for which goes higher priority?



Or do we have to do manual calculation like rough work and decide which to prefer??
plz correct me if i am wrong
take care
reply me
 

if you met hold vio,you'd add more delay for datapath,normally tool have this feature to do(optdesign in encounter),maybe left fewer in final opt,and you have to do it by manual
 
yes, Optdesign can fix most of timing violations. but it seems this command can not fix timing violations on in2reg path? or is there any other setting? Does there any body can fix violations on path in2reg using optDesign?
 
hi

use

setclockdomain -from "" -to ""

just before optdesign . define the above as -from in -to reg and it will optimize according.

BR

Sing
 
Hi Sing,

I've never used SOC Encounter. But I used Synopsys ICC, Synopsys Astro, and Magma Blastfusion. For most of the designs with these tools we used the STA tool's (Prime Time) "what if analysis".

You just fix all "setup" violations in physical design tool, and than do STA. And then check hold timing at all modes and corners. Then using "what-if analysis" property of prime time, add delay cells. It is important that the added buffers do not create new setup violations.

Depending on the delay cell used, maximum transition violations may pop-up, you may need to take them into account while preparing hold fixing script or do it at next STA loop from physical design tool.

Other options for hold fixing are also valid. We also use downsizing some cells.

Another option is to fix holds using physical design tool commands. However as extraction tool used in physical design will be different from the one used at Signoff, extra (or insufficient) hold buffers may be inserted depending on the correlation between backend tool extraction and Signoff extraction.

The key thing here is not to create new setup violations. Otherwise hold fixing loop may take more iterations, and excessive hold buffers may be inserted, which may create hard-to-fix local congestion issues.

Best regards,
Gokhan
---
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top