Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recursive function - System Verilog

Status
Not open for further replies.

wisemonkey

Junior Member level 3
Joined
Apr 1, 2010
Messages
31
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
San Jose
Activity points
1,498
Hi,
So I've decided to use SystemVerilog for design.
Now there is a combinational logic which can be implemented with recursive function, And number of function call is constant (precompile parameter)
My question is, As synthesizer/compiler tools know exactly number of instances for that particular logic, Can I use recursive function safely?

Thanks
 

I may not be completely aware of Verilog/SV features so if I'm wrong please correct me.
But as much as I know only SV has recursive and void functions ain't it? And I want to use recursive function cause it makes it possible to code that combinational block
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top