Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Real data type increment by fraction in VHDL

Status
Not open for further replies.

amala_y

Newbie level 1
Joined
May 27, 2016
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
0
Activity points
0
Hi,

I am trying to increment real data by 0.03 in LOOP.Not able to see exact values.

angle <= angle + 0.03;

when angle is real data type.

in simulation I am seeing 0.02999999,0.059999999998,0.0899999999997,0.12.........


Thanks
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top