Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

reading a bit from std_logic_vector

Status
Not open for further replies.

LM317

Newbie level 4
Joined
Nov 7, 2009
Messages
6
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
istanbul
Activity points
1,308
Hello,

I want to check a bit that is the LSB bit of a std_logic_vector. How can i do that. Can i use parenthesis to specify the bit number like;
if control_data_in(0) = '1' then

does it work?
 

of course it does. why dont you try it for yourself?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top