reading a bit from std_logic_vector

Status
Not open for further replies.

LM317

Newbie level 4
Joined
Nov 7, 2009
Messages
6
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
istanbul
Activity points
1,308
Hello,

I want to check a bit that is the LSB bit of a std_logic_vector. How can i do that. Can i use parenthesis to specify the bit number like;
if control_data_in(0) = '1' then

does it work?
 

of course it does. why dont you try it for yourself?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…