Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

readhat modelsim debussy( VHDL can't use function fsdbDumpfile)

Status
Not open for further replies.

clould365

Newbie level 4
Joined
Apr 30, 2012
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,309
Hi,
I am trying to debug VHDL using debussy(Red Hat OS).
As you know, debussy's nWave needs a *.fsdb file. But , I can't find the right way to generate *.fsdb file using Modelsim.
I searched the Internet and added
Code:
process
 begin
     fsdbDumpfile("counter.fsdb");
     fsdbDumpvars(0, "counter_tb");
 wait;
 endprocess;
to my testbench.
But the compilation failed. "unknown idenfifier 'fsdbdumpfile' "
Can you help me ?
 

I have find the way , we should find a novas_fli.so file for modelsim. it is in debussy path and we should move it to the path you need
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top