Read data from LPM ROM

Status
Not open for further replies.

Milenia

Newbie level 4
Joined
Oct 13, 2012
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,342
Hi guys.

I am beginner in FPGA and VHDL. Currently I have problem with my design. Does everybody knows how to read data in lpm rom?
 

Taken from Altera's website:
Important: Altera recommends using the altsyncram megafunction instead of the lpm_rom megafunction. This megafunction is provided only for backward compatibility. This option is available for all Altera devices except MAX 3000 and MAX 7000 devices.

Are you using a MAX3000 or MAX 7000?

Other than that, reading a ROM normally just takes placing the address on the address input and enabling the read along with the clock.
 

No. I am using Altera de2 115 board. Sorry. I did not understand. Could you simplified it because I am very beginner in FPGA and VHDL
 

don't use lpm_rom for the ROM, use the altsyncram megafunction (that should have been clear)

if the problem is the reading, well you use timing like this.


- - - Updated - - -

you should try writing a testbench and just run the ROM in a simulation to see what happens when you change the signals.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…