Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

RANDOM NUMBER generation

Status
Not open for further replies.

vinodh475

Newbie level 5
Joined
Sep 24, 2012
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,349
i have written verilog code for RANDOM NUMBER generation and it is generating list of random numbers(around more than 1000 and i can increase this number also). but i want to check the quality of random numbers that i have generated . can u please send me any algorithm to check quality of the RANDOM NUMBERS

thanks in advance
 

System Verilog provides simple random feature.
Random is good, if you could resimulate with the same random number suit, in case a issue occurs and you want to debug.
 

generating truly random numbers can be difficult - some folks resort to using already proven random number tables. In the past, I found the extremes - near 0 and near 1 - to be under-represented. Tried to patch-up it up but the computation time become an issue
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top