Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question regarding simulating system verilog DPI in Questasim

Status
Not open for further replies.

rayan123

Newbie level 5
Joined
Nov 24, 2012
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,340
Hi fellas,

I am new to this domain of DPI
I am trying to use DPI function. I have some .v files, .c files, .h files and a .so file.
I compiled .v and .c files using the command vlog -sv -dpiheader <filename_dpi.h> <filename.v> <filename_tb.v> <filename1.c> <filename2.c>

I know that i have to use the .so file in vsim command as it is the shared library.
But i am not getting the how to use it.
Any help in this regard is appreciated.

Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top