Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

question on netlist & rtl verification

Status
Not open for further replies.

ravi123

Junior Member level 1
Joined
Jan 3, 2005
Messages
15
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
88
I want to know what care should be taken while developing a testbench for RTL verification & intended to be used for netlist simulations.?
I am currently avoiding driving on observing signals inside the design...please provide ur tips on this...
 

ravi123 said:
I want to know what care should be taken while developing a testbench for RTL verification & intended to be used for netlist simulations.?
I am currently avoiding driving on observing signals inside the design...please provide ur tips on this...

Usually, sequential signals tend to remain available (albeit with a different name) after synthesis as well, hence a good guideline is to restrict monitoring of internal signals to sequential ones than combinatorial ones.

Another useful guideline is to use `define for signal names (if you use Verilog) so that the defines can be changed externally - no tweaking of TB needed. BTW, this also applies to any assertions you add to your design. We have this guideline in our PSL/SVA books as well (with example).

HTH
Ajeetha
www.noveldv.com
 

What kind of tools are used here to compare the rtl and netlist simulations? are these netlist suitable for rtl simulators, Model sim, Ncsim..etc?

Kindly Help

Thanks and regards
Raghu
 

eeeraghu said:
What kind of tools are used here to compare the rtl and netlist simulations? are these netlist suitable for rtl simulators, Model sim, Ncsim..etc?

Kindly Help

Thanks and regards
Raghu

Any "sign-off" quality simulator can be used for netlist simulation - VCS, NC, MTI etc. One should also explore formal equivalence checking for RTL to Gate level equivalence.

HTH
Ajeetha
www.noveldv.com
 

assertions are helpful in both gate level and RTL simulations.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top