Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question on delay insertion in DC synthesis

Status
Not open for further replies.

tonyson

Newbie level 3
Joined
Nov 30, 2006
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,308
Hi all,

When I run DC synthesis, I've set a set_max_delay constraint on a particular path. The synthesised gate level netlist seems only use INV1 in the delay chain. Therefore, a large number if INV1 is involved if the required delay is long. If I want to reduce the number of cells in a chain by using invertor or delay cell with longer delay. How can I achieve it except instantiation?

Thanks a lot

Tonyson
 

Why do you want to use some other cell? If so what do you think is possible to use other than INV?
 

Because the synthesis shows that a chain of INV1 is needed to create a certain delay. But I found that in the library, there are several delay cell which can provide similar delay. So, I would like to know is there any way to create certain delay by using another cell (may be inverter, buffer or delay cell) which have longer delay such that less number of cell will be used.

Thanks
 

Because the synthesis shows that a chain of INV1 is needed to create a certain delay. But I found that in the library, there are several delay cell which can provide similar delay. So, I would like to know is there any way to create certain delay by using another cell (may be inverter, buffer or delay cell) which have longer delay such that less number of cell will be used.

Thanks


First: you may mean set_mix_delay. I think if set_max_delay, DC will not insert delay cells.
Second: There must be some delay cells in your library, you'd better use these cells. You can see that from the *.lib: the varivation of delay value of the delay cells in SS corner and FF corner is smaller than other cells with the same delay.
 

Hi tonyson:

I think, maybe this CMD "set_dont_use" can be used to tell DC not to use certain STD Cells.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top