Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

question about primetime PX: about using create_power_waveforms

Status
Not open for further replies.

zouyong

Newbie level 6
Joined
Jun 9, 2011
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,385
[SOLVED] question about primetime PX: about using create_power_waveforms

Hi:
From a document named “PrimeTime® PX Methodology for Power Analysis v1.2”, it says "Unless you set power_force_saif_flow to TRUE, create_power_waveforms can not be used."
Then I "set set power_force_saif_flow TRUE", but still this "create_power_waveforms" can't be used.

pt_shell> create_power_waveforms
Error: Command 'create_power_waveforms' is not enabled as default. Set power_ui_backward_compatibility to TRUE to enable this command. (UIPWR-002)

pt_shell> set power_ui_backward_compatibility TRUE
Warning: Detected use of obsolete/unsupported feature. Variable
power_ui_backward_compatibility will not be available
in a future release of the application.
Please update your command usage accordingly.
Error: Can not enable or disable UI backward compatibility after power analysis commands have been issued. (UIPWR-008)
Error: can't set "power_ui_backward_compatibility":
Use error_info for more info. (CMD-013)

Can anybody tell me how to use this create_power_waveforms?
If this create_power_waveforms is unsupported anymore, is there any new command I can use to figure out the power waveforms?
Primetime Version: F-2011.06-SP1
Many thanks!!!

Using set power_analysis_mode time_based and update_power, I can get the waveforms now.
 
Last edited:

Power.jpg

Check this wrto PTPX flow. Since you havent mentioned RTL/Gate level ,so giving all the details.

Regards, Sam
 

View attachment 72844

Check this wrto PTPX flow. Since you havent mentioned RTL/Gate level ,so giving all the details.

Regards, Sam
Actually I tried both RTL and Gate level. if I just want to get a general cycle power waveform, do I have to read SPEF SDC files beside the netlist and VCD file?
Thanks.
 

I'm having the same problem Zoyoung, i'm geting to run the power analasys, but i'm with this warning too.

The code that i'm using to run is:

Code:
#power.scr
set power_enable_analysis TRUE
set power_ui_backward_compatibility TRUE
set target_library "<yourlibrary>.db"
set link_library "<yourlibrary>.db *"

read_db $target_library
read_verilog <yourdesign>.v
current_design <yourdesign>
link
create_clock -period 60 -name clk [find port clk]
read_vcd dmp_top.vcd -strip_path <testbench_yourdesign>/<instantiation_name_in_testbench>
create_power_waveforms
report_power

So as said before this warnings were presented:


Warning: Detected use of obsolete/unsupported feature. The following
will not be available in a future release of the application:
create_power_waveforms. Use update_power with set power_analysis_mode time_based instead (CMD-100)
Warning: Some timing arcs have been disabled for breaking timing loops
or because of constant propagation. Use the 'report_disable_timing'
command to get the list of these disabled timing arcs. (PTE-003)

If someone may help us with that, or explain if this warnings can be ingnored could be great.

Bye.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top