Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question about Mixed verilog/vhdl simulation in NCsim

Status
Not open for further replies.

nasimz

Newbie level 1
Joined
Jun 7, 2011
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
Hello,

I am using a verilog testbench, but it includes instantiations and parameters defined in vhd files.
I compile those files with ncvhdl to a library . How can I give the path of this library to ncvlog to use it for compiling the testbench?

best,
nasim
 

you should have a wrapper for vhdl model and instance in in the testbench!
 

Hi nasim,
Just try to remember one thing. In testbench there may be modules of both vhdl and verilog.
When your testbench is verilog then whille creating instance make all the instance in verilog even the vhdl file.
Similarly for vhdl testbench.

I think ur problem is solved.

regards,
Nishi.
 

    V

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top