Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Pseudo Random noise Generator in VHDL

Status
Not open for further replies.

ei99dami

Newbie level 4
Joined
Sep 26, 2006
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,336
pseudo random noise generator

Where can I find good information how to create a pseudo random noise generator in VHDL.

/Robin
 

random generator vhdl

If you require an implementable noise generator to test in actual hw, you can generate pseudorandom sequences by using linear feedback shift registers (LFSRs), so check that out.
 

noise generator vhdl

Search on Xilinx, Altera - Keyword: LFSR (Linear Feedback Shift Register)
 

vhdl noise generator

Hi there,

What distribution would you like your noise to have? are you using it as a channel noise emulator to simulate your communication list as additive Gaussian noise? In that case, simple LFSR would not work for you.

In order to generate Gaussian pn noise (white), you can use BOX-MULLER approach. It generates a pseudo-random Gaussian distributed noise from two uniformly distributed random sequence (which you can get from 2 LFSRs).

Xilinx has an IP for it if you are interested and there are some implementation reports on IEEE.

TS.
 

uniform function vhdl

Go to book for
Douglas J.Smith(HDL CHIP DESIGN)
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top