Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with using std::randomize() call

Status
Not open for further replies.

mendozaulises

Member level 3
Joined
Mar 8, 2006
Messages
56
Helped
7
Reputation
14
Reaction score
0
Trophy points
1,286
Activity points
1,873
Hi All, I have a problem when using std::randomize() call.
I have a task

task SetRandomModFactor();
integer aFactor;
for(int i =0; i<20; i++) begin
assert(std::randomize(aFactor) with {aFactor inside{[1:999]};});
rWideFactor = aFactor/1000;
$display("[%t] DC rWideFactor[%d] = %f",$time,i,rWideFactor);

end
endtask : SetRandomModFactor

And I got a compilation error from vcs

Parsing design file 'cte/qpi/tb/interfaces/AFEJitterIF.sv'
Error-[MFNF] Member not found.
Member randomize not found in package std.
"cte/qpi/tb/interfaces/AFEJitterIF.sv", 223: std

Is there any special option that I must use so that vcs recognizes randomize on std package?
I am using the next options for vcs
vcs -line +cli+3 -sverilog -ntb_opts dtm -timescale=1ps/1ps -f compile.f

and VCS_VERSION = Y-2006.06
 

std::randomize

As far as I know this is not yet supported, ask SNPS for better error message on this. You can wrap this in a class and do randomize()

HTH
Ajeetha, CVC
www.noveldv.com
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top